搜索
您的当前位置:首页EDA论文

EDA论文

时间:2023-03-05 来源:乌哈旅游


EDA论文

七人表决器

作者:

2009080014 滕薇

2009080053 曹瑞娜

2009080063张永粉

2009080025 陈丽

2009080030 刘艳慧

2009080041 王丹苹

2009080035 宋琰

专业名称 应用电子技术

指导教师姓名、职称 王美红

摘要

所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。

七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。

用已学过的知识和对数字电子技术的基本理论,基本概念,基本方法和单元电路,逻辑部件的深入认识,而拓宽思路,扩大视野,进一步巩固,扩充所学知识,提高分析问题和解决问题的能力。

引言

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的

出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

Quartus2是Altera提供的FPGA/CPLD开发集成环境,Quartus2提供了完整的多平台设计环境,能满足各种特停设计的需要,也是单芯片可编程系统设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP 开发包进行系统模型设计提供了集成综合环境。Quartus2包括模块化得编译器。编译器包括的功能模块分析/综合器、适配器、装配器、时序分析器、设计辅助模块、EDA网表文件生成器、编辑数据接口等。

Quartus2还包括许多十分有用的LPM模块,它们是复杂或高级系统构建的重要组成部分。

关键字:EDA,Quartus2

程序详细设计

1. 七人表决器设计总框架:

xin[0:6]:表决输入,分别是七个拨动开关

xout1[0:6]:译码用数码管输出不同意同意的人数(set1=1)

xout[0:6]:译码用数码管输出表决是否通过

2.七人表决器程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity biao is

port ( xin: in std_logic_vector ( 6 downto 0 );

xout,xout1: out std_logic_vector ( 6 downto 0 )

);

end entity ;

architecture bev of biao is

begin

process ( xin)

variable j: integer :=0;

begin

j:=0;

for i in 0 to 6 loop

if xin(i)='1' then

j:=j+1;

end if;

end loop;

if j>3 then

xout<=\"0001100\";

else xout<=\"0000110\";

end if;

case j is

when 0 =>xout1<=\"1000000\";

when 1 =>xout1<=\"1111001\";

when 2 =>xout1<=\"0100100\";

when 3 =>xout1<=\"0110000\";

when 4 =>xout1<=\"0011001\";

when 5 =>xout1<=\"0010010\";

when 6 =>xout1<=\"0000010\";

when 7 =>xout1<=\"1111000\";

when others =>xout1<=\"XXXXXXX\";

end case;

end process;

end architecture bev;

3. 运行结果:

总 结

生活中的表决器有多种,不管实现的方法是什么,但他的原理只有一个,那就是:少数服从多数,因此,表决器在生活中发挥着极其重要的角色。

通过了此实验课题的选定,编程,调试,仿真,心情是愉悦的,激动的,觉得做好一件事情不是那么容易的,关键是你对这件事得态度问题,通过这次课题实验,我也对EDA这门课有了深刻的认识,它的用途,它的功能,它的方便之处,都通过这几天的研究得到了体会,而且对知识的巩固也起到了一定的作用,这次的论文编写还有一个重要的认识就是,要学会一门课很容易,但是要把它真正运用到实践中就难了。掌握一定的理论知识并且会做题还不够,关键是要怎么样去利用,在今后的学习生活中我们要学会学以致用。

致 谢

本篇论文虽然凝聚着我们的汗水,但却不仅仅是我们几个人智慧的产品,没有指导老师王美红的帮助和支持,我们的论文不是完成的这么好。再次由衷感谢老师对学生的指导和教诲。

参考文献

潘松,黄继业.2009.EDA技术与VHDL.北京:清华大学出版社.

潘松,潘明.2007.现代算机组成原理.北京:科学出版社.

潘松,王国栋.2001.VHDL实用教程(修订版).成都:成都电子科技大学出版设.

黄正谨,徐坚等.2002.C PLD系统设计技术入门与应用.北京:电子工业出版社.

蒋璇,臧春化.2001.数字系统设计与PLD应用技术.北京:电子工业出版社

孟宪元.1998.可编程asic集成数字系统设计.北京:电子工业出版社

乔庐峰.2009.VERILOG HDL数字系统设计与验证.北京:电子工业出版社

宋万杰,罗丰,吴顺君。2009.CPLD技术及其应用.西安:西安电子科技大学出版社

王金明,杨吉斌.2002.数字系统设计与VERILONG HDL.北京:电子工业大学出版社

王锁萍.2000.电子设计自动化教程.成都:成都科技大学出版社

徐志军,徐光辉.202.CPLD/FPGA的开发与应用.北京:电子工业出版社

云创工作室.2009.VERILOG HDL程序设计与实践.北京:人民邮电出版社

曾繁泰,侯亚宁,崔元明.2001可编程器件应用导论.北京:清华大学出版社

詹仙宁,田耕.2009.VHDL开放精解与实例剖析.北京:电子工业出版社

朱明程.2001.XILINX数字系统现场集成技术.南京:东南大学出版社

Altra corporation。2002.Altera Digital Library .Altera

JR Armstrong,FG Gray2002.VHDL设计表示和综合.李宗伯,王蓉晖译.北京:机械工业出版社

S Sjoholm,L Lindh .2000用VHDL设计电子线路.边计年,薛宏熙译.北京:清华大学出版社。

因篇幅问题不能全部显示,请点此查看更多更全内容

Top